Przerzutnik typu D w elektronice cyfrowej

Przerzutnik Typu D W Elektronice Cyfrowej



Przerzutnik to obwód cyfrowy przechowujący jeden bit informacji binarnej. Ma dwa stabilne stany. Te stany to zwykle 0 i 1. Możesz zmienić te zapisane bity, podłączając różne wejścia do obwodu przerzutnika. Przerzutniki i zatrzaski to podstawy zarządzania pamięcią w każdym obwodzie cyfrowym. Oba działają jako elementy przechowywania danych.

Przerzutniki służą do przechowywania danych i sterowania przepływem informacji w komputerach i urządzeniach komunikacyjnych. W przeciwieństwie do przerzutnika, zatrzask może zmienić swoje wyjście, gdy aktywne jest określone wejście. Zarówno zatrzask, jak i przerzutnik są różne. Zatrzask jest wrażliwy na poziom, natomiast przerzutnik jest wrażliwy na krawędź.

Można porównać zatrzask i przerzutnik, sprawdzając, jak reagują na sygnał wejściowy. Zatrzask zmienia swoje wyjście w zależności od poziomu sygnału wejściowego. Sygnał na wejściu będzie wysoki lub niski. Przerzutnik zmienia swoje wyjście w zależności od przejścia sygnału wejściowego. Oznacza to, że zamiast wysokiego i niskiego poziomu, sygnał wejściowy będzie albo rosnął, albo opadał.







Przerzutniki mają różne typy, takie jak przerzutniki SR, JK, D i T. W tym artykule szczegółowo omówiono przerzutnik typu D. Można zaprojektować przerzutnik typu D przy użyciu przerzutnika SR. Bramkę NOT należy podłączyć pomiędzy wejściami S i R przerzutnika typu D i oba te wejścia są ze sobą powiązane. Zamiast przerzutników SR można zastosować przerzutnik typu D, do tej konfiguracji potrzebny jest jedynie stan SET i RESET.



Szybki zarys:



Co to jest przerzutnik typu D?

Przerzutnik typu D (przerzutnik opóźniający) to taktowany element obwodu cyfrowego posiadający dwa stabilne stany. Ten typ przerzutnika wykorzystuje na wejściu opóźnienie o jeden cykl zegara. Dzięki temu można łączyć wiele przerzutników typu D w kaskadę, tworząc obwody opóźniające. Przerzutniki typu D mają różne zastosowania, zwłaszcza w systemach telewizji cyfrowej.





Obwód przerzutnika typu D

Prosty przerzutnik typu D zawiera cztery wejścia i dwa wyjścia. Te dane wejściowe to:



1. Dane

2. Zegar

3. Ustaw

4. Zresetuj

Dwa wyjścia przerzutnika typu D są względem siebie logicznie odwrotne. Dane wejściowe mogą mieć wartość logiczną 0 (niskie napięcie) lub logikę 1 (wysokie napięcie). Sygnał wejściowy zegara zsynchronizuje przerzutnik z sygnałem zewnętrznym. Obydwa wejścia ustawione i zerowane są utrzymywane na niskim poziomie logicznym. Przerzutnik typu D ma dwa możliwe stany. Gdy dane wejściowe (D) przerzutnika wynoszą 0, przerzutnik zostanie zresetowany, a na wyjściu zostanie wygenerowana wartość 0. Gdy dane wejściowe (D) wyniosą 1, przerzutnik ustawi się i spowoduje wyjście 1.

Należy zauważyć, że przerzutnik typu D różni się od zatrzasku typu D. Zatrzask typu D nie wymaga sygnału zegarowego, ale przerzutnik typu D wymaga sygnału zegarowego, aby zmienić swój stan.

Można skonstruować przerzutnik typu D z parą zatrzasków SR. Odwrócone połączenie jest również potrzebne dla pojedynczego wejścia danych pomiędzy wejściami S i R. Wejścia S i R nie mogą być jednocześnie wysokie lub niskie. Jedną z głównych cech przerzutnika typu D jest to, że może on utworzyć zatrzask, który może przechowywać i przechowywać informacje o danych. Możesz użyć tej właściwości zatrzasku przerzutnika typu D, aby utworzyć obwód opóźniający i przetwarzać dane, gdy są potrzebne. Przerzutniki typu D są stosowane głównie w dzielnikach częstotliwości i zatrzaskach danych.

Schemat rozrządu

Rozłóżmy diagram czasowy od lewej do prawej:

  • Na początku diagramu czasowego, Q jest początkowo NISKI. Gdy SET na krótko osiągnie poziom WYSOKI, Q staje się WYSOKI i pozostaje WYSOKI. Z drugiej strony, gdy RESET na krótko przejdzie w stan WYSOKI, Q staje się NISKI i pozostaje NISKI.
  • Zmiany DATA z LOW na HIGH nie wpływają na Q . Wyjście nie reaguje na zmiany DANYCH. Na zboczu narastającym pierwszego impulsu zegarowego, ponieważ DANE są WYSOKIE, Q staje się WYSOKI. Chociaż DANE chwilowo zmieniają się z powrotem na NISKI, a następnie z powrotem na WYSOKI. Wszystko to nie ma wpływu na Q . Na zboczu narastającym drugiego impulsu zegarowego DANE są nadal WYSOKIE, a Q również pozostaje WYSOKI.
  • Przechodząc do zbocza narastającego trzeciego impulsu zegarowego, gdy DANE są NISKIE, Q staje się NISKI. W czwartym i piątym impulsie zegara, gdy DANE pozostają NISKIE, Q pozostaje również NISKI na każdym zboczu narastającym. Wreszcie, gdy nadchodzi zbocze narastające, DANE są WYSOKIE i Q również przechodzi na WYSOKI.

Należy pamiętać, że Q jest zawsze przeciwieństwem Q . Wejście SET może w dowolnym momencie ustawić wyjście na WYSOKI. Podobnie, możesz użyć wejścia RESET, aby w dowolnym momencie ustawić wyjście na NISKI.

Tabela prawdy dla przerzutnika typu D

Charakterystykę przerzutnika typu D można zapisać za pomocą tabeli prawdy przerzutnika D. Wewnątrz tabeli prawdy możemy zobaczyć, że mamy jedno wejście, którym jest D. Podobnie mamy tylko jedno wyjście, którym jest Q(n+1).

CLK D Q(n+1) Państwo
0 0 RESETOWANIE
1 1 USTAWIĆ

W tabeli charakterystyk przerzutnika typu D mamy dwa wejścia, D i Qn. Tabela charakterystyk ma jedno wyjście Q(n+1).

Ze diagramu logicznego typu D możemy wywnioskować, że Qn i Qn’ to dwa uzupełniające się wyjścia. Te dwa wyjścia działają również jako wejścia dla bramki 3 i bramki 4. Zatem Qn, które jest obecnym stanem przerzutnika, będzie traktowane jako wejście, a Q(n+1), które jest kolejnym stanem przerzutnika będą traktowane jako dane wyjściowe.

D Qn Q(n+1)
0 0 0
0 1 0
1 0 1
1 1 1

Korzystając z tablicy charakterystycznej przerzutników typu D, możemy zapisać wyrażenie logiczne K-mapy z 2-zmiennej mapy K.

Konfiguracja Master-Slave przerzutnika typu D

Aby poprawić zachowanie przerzutnika typu D, możemy dodać drugi przerzutnik SR na końcu wyjścia przerzutnika typu D. Spowoduje to aktywację uzupełniającego sygnału zegarowego z wyjścia przerzutnika typu D. W efekcie powstanie przerzutnik typu Master-Slave D. Kiedy nadejdzie zbocze narastające (od niskiego do wysokiego) sygnału zegara, warunek wejściowy na głównym przerzutniku zostanie zablokowany. Podczas gdy wyjście głównego przerzutnika typu D zostanie wyłączone.

Podobnie, gdy nadejdzie zbocze opadające lub opadające (od wysokiego do niskiego) sygnału zegara, zostanie aktywowany drugi stopień urządzenia podrzędnego. Kiedy impuls zegara zmienia się z wysokiego na niski (podczas impulsu ujemnego), wyjście zmienia się. Można zaprojektować przerzutniki typu Master-Slave D, łącząc kaskadowo dwa zatrzaski, przy czym oba mają przeciwne fazy zegara.

Obwód przerzutnika typu Master-Slave typu D

Zatem z obwodu Master-Slave typu D można zobaczyć, jak przerzutnik Master ładuje dane z wejścia D, gdy wzrasta impuls zegarowy w obwodzie Master-Slave typu D. To powoduje, że mistrz się odwraca. Na drugim zboczu (zboczu opadającym) impulsu zegarowego przerzutnik urządzenia podrzędnego załaduje teraz dane i włączy urządzenie podrzędne.

Ogólnie rzecz biorąc, taka konfiguracja spowoduje, że jeden przerzutnik będzie zawsze włączony, a drugi wyłączony. Należy zauważyć, że wyjście Q tej konfiguracji przerzutnika typu master-slave będzie przechwytywać wartość D tylko wtedy, gdy zastosowany zostanie pełny cykl impulsu zegarowego. Ten pełny cykl powinien zawierać zbocze wiodące i opadające w konfiguracji 0-1-0.

Przerzutnik typu D do podziału częstotliwości

Można również użyć przerzutnika typu D jako obwodu dzielnika częstotliwości. Połącz bezpośrednio wyjście Q przerzutnika D z wejściem D. Stworzy to system sprzężenia zwrotnego w zamkniętej pętli. Na każde dwa cykle impulsów zegarowych nastąpi przełączenie układu bistabilnego.

Zatrzask danych może również działać jako dzielnik binarny lub dzielnik częstotliwości. Spowoduje to utworzenie obwodu licznika dzielenia przez 2. Oznacza to, że częstotliwość wyjściowa jest zmniejszona o połowę w porównaniu z częstotliwością impulsu zegarowego.

Uwzględniając system pętli sprzężenia zwrotnego wokół przerzutnika typu D, można również tworzyć różne typy obwodów przerzutników, takie jak przerzutniki typu T, znane również jako przerzutniki bistabilne typu T. Ten przerzutnik typu T w licznikach binarnych może działać jak obwód dzielenia przez dwa, jak pokazano poniżej.

Z powyższego przebiegu możemy wywnioskować, że gdy sygnał wyjściowy Q zostanie podany jako sprzężenie zwrotne do zacisku wejściowego D, częstotliwość impulsów wyjściowych w punkcie Q będzie dokładnie równa połowie (ƒ/2) częstotliwości zegara wejściowego (ƒ W ). Innymi słowy, obwód ten osiąga podział częstotliwości poprzez podzielenie częstotliwości wejściowej przez współczynnik dwa. Q przechodzi do 1 raz na dwa cykle zegara.

D Klapki jako zatrzaski danych

Przerzutniki D wraz z podziałem częstotliwości mogą również pełnić funkcję Data Latches. Data Latch to urządzenie, którego zadaniem jest zachowanie lub przywołanie danych znajdujących się na jego wejściu. W rzeczywistości działa jako jednobitowe urządzenie pamięci. Możesz łatwo znaleźć układy scalone, takie jak TTL 74LS74 albo CMOS 4042 w formacie Quad. Te układy scalone są specjalnie zaprojektowane do celów blokowania danych.

Aby skonstruować 4-bitowy zatrzask danych, połącz ze sobą cztery 1-bitowe zatrzaski danych. Upewnij się także, że wejścia zegara wszystkich 1-bitowych zatrzasków danych są ze sobą połączone i zsynchronizowane. Poniżej znajduje się dany 4-bitowy obwód zatrzasku danych.

Przezroczysty zatrzask danych

W elektronice i obwodach cyfrowych znajdziesz liczne zastosowania Data Latch. Za pomocą Data Latch możesz zarządzać buforowaniem, zarządzaniem portami we/wy, dwukierunkowym sterowaniem magistralą i sterowaniem wyświetlaczem. Został zaprojektowany w taki sposób, aby zapewnić bardzo wysoką impedancję wyjściową w obu przypadkach Q i jego uzupełnienie Q . Spowoduje to zminimalizowanie wpływu impedancji na podłączone obwody.

W większości przypadków pojedyncze 1-bitowe zatrzaski danych nie są powszechnie używane. Dostępne na rynku układy scalone integrują wiele indywidualnych zatrzasków danych (4, 8, 10, 16 lub 32) w jednym pakiecie. Przykładem jest 74LS373 Zatrzask przezroczysty ósemkowy typu D.

Możesz pomyśleć o 74LS373 jako urządzenie posiadające osiem Klapki typu D w środku tego. Każdy przerzutnik ma wejście danych D i wyjście Q . Gdy wejście zegara (CLK) jest WYSOKIE, wyjście każdego przerzutnika będzie odpowiadać wejściu danych. Oznacza to, że dane wejściowe są przezroczyste lub widoczne dla danych wyjściowych. W tym stanie otwartym ścieżka z D wejście do Q wyjście jest przezroczyste. Pozwala to na niezakłócony przepływ danych, dlatego nadano nazwę przezroczysty zatrzask.

Z drugiej strony, gdy sygnał zegara jest NISKI, zatrzask zamyka się. Wyjście o godz Q jest blokowany do ostatniej wartości danych występujących przed zmianą sygnału zegara. W tym momencie Q nie zmienia się już w odpowiedzi na D .

Układy scalone typu D typu flip-flop

Istnieją różne typy układów scalonych przerzutników D dostępnych zarówno w obudowach TTL, jak i CMOS. Model 74LS74 to jedna z najczęściej używanych opcji, które można rozważyć. Jest to układ scalony typu flip-flop Dual D, który zawiera dwa indywidualne układy bistabilne typu D w jednym chipie. Korzystając z tego, możesz utworzyć przerzutniki pojedyncze lub typu master-slave.

Dostępne są również inne obwody scalone typu flip-flop typu D, takie jak przerzutnik 74LS174 HEX D z bezpośrednim wejściem Clear. Kolejnym układem scalonym przerzutnika D jest przerzutnik Quad D 74LS175 z komplementarnymi wyjściami. Przerzutnik 74LS273 Octal typu D ma w sumie 8 przerzutników typu D. Wszystkie te osiem przerzutników ma wyraźny wkład. Wszystkie te wejścia są połączone w jednym pakiecie.

Wniosek

Przerzutnik typu D można zaprojektować przy użyciu dwóch zatrzasków SR ustawionych tyłem do siebie. Pomiędzy wejściami S i R zastosowano również falownik. Spowoduje to wygenerowanie pojedynczego wejścia D (danych). Do podstawowego przerzutnika typu D można dodać drugi przerzutnik SR. Poprawi to działanie przerzutnika typu D. Można podłączyć ten przerzutnik SR do wyjścia przerzutnika typu D. Będzie działać tylko wtedy, gdy sygnał zegara jest przeciwny do pierwotnego. Ta konfiguracja jest również znana jako przerzutnik Master-Slave D.

Zarówno zatrzask typu D, jak i przerzutnik typu D są różne. Zatrzask nie ma sygnału zegarowego, natomiast przerzutnik typu D zawiera sygnał zegarowy. Przerzutnik D jest urządzeniem wyzwalanym zboczem. Przesyłanie danych wejściowych jest kontrolowane za pomocą narastającego lub opadającego zbocza zegara. Z drugiej strony zatrzaski danych, podobnie jak zatrzask danych i zatrzask przezroczysty, są urządzeniami wrażliwymi na poziom.